ВУЗ:
Составители:
Рубрика:
40
Приложение 1. Библиотека встроенных компонентов
WebPack ISE
Панель компонентов схемотехнического редактора ECS содержит
большое количество компонентов, отсортированных по функциональным
категориям . Ниже приводится неполный список тех компонентов, которые
определены для семейства Spartan-II.
Арифметические функции
Существует три типа арифметических функций : аккумуляторы (ACC),
сумматоры (ADD) и сумматоры /вычитатели (ADSU).
ACC4,8,16 – 4, 8, 16 бит аккумулятор . Имеет входной бит переноса
(CI), выходной флаг переноса (CO), флаг переполнения (OFL). Следующий
VHDL-код иллюстрирует принцип работы ACC4.
architecture Behavioral of acc4 is
begin
process(C)
begin
if (R = ’1’) then
Q <= (others => ’0’);
elsif (C’event and C = ’1’) then
if (L = ’1’) then
Q <= D;
elsif (CE = ’1’) then
if (ADD = ’1’) then
Q <= Q + B;
else
Q <= Q - B;
end if;
end if;
end if;
end process;
end Behavioral;
ADD4,8,16 – 4, 8, 16 бит полный сумматор . Имеет входной бит
переноса (CI), выходной флаг переноса (CO), флаг переполнения (OFL).
Следующий VHDL-код иллюстрирует принцип работы ADD4.
architecture Behavioral of ADD is
signal sum: std_logic_vector(WIDTH-1 downto 0);
signal zeros: std_logic_vector(WIDTH-1 downto 0) := (others =>’0’);
begin
process (CI, A, B, sum)
40 Пр и л о ж ен и е 1. Би бл и о тека встр о ен н ы х ко м по н ен то в WebPack ISE Панель ком понентов сх ем отех ни ческого р едактор а ECS содер ж и т б ольш ое коли чество ком понентов, отсор ти р ованны х по ф ункци ональны м категор и ям . Н и ж е пр и води тся неполны й спи сок тех ком понентов, котор ы е опр еделены длясем ей стваSpartan-II. Ар и ф м ети чески еф ун кц и и Сущ ествует тр и ти па ар и ф м ети чески х ф ункци й : аккум улятор ы (ACC), сум м атор ы (ADD) и сум м атор ы /вы чи татели (ADSU). ACC4,8,16 – 4, 8, 16 б и т аккум улятор . И м еет вх одной б и т пер еноса (CI), вы х одной ф лаг пер еноса (CO), ф лаг пер еполнени я (OFL). Следую щ и й VHDL-коди ллю стр и р ует пр и нци п р аб оты ACC4. architecture Behavioral of acc4 is begin process(C) begin if (R = ’1’) then Q <= (others => ’0’); elsif (C’event and C = ’1’) then if (L = ’1’) then Q <= D; elsif (CE = ’1’) then if (ADD = ’1’) then Q <= Q + B; else Q <= Q - B; end if; end if; end if; end process; end Behavioral; ADD4,8,16 – 4, 8, 16 б и т полны й сум м атор . И м еет вх одной б и т пер еноса (CI), вы х одной ф лаг пер еноса (CO), ф лаг пер еполнени я (OFL). Следую щ и й VHDL-коди ллю стр и р ует пр и нци п р аб оты ADD4. architecture Behavioral of ADD is signal sum: std_logic_vector(WIDTH-1 downto 0); signal zeros: std_logic_vector(WIDTH-1 downto 0) := (others =>’0’); begin process (CI, A, B, sum)
Страницы
- « первая
- ‹ предыдущая
- …
- 38
- 39
- 40
- 41
- 42
- …
- следующая ›
- последняя »