Разработка цифровых устройств на ПЛИС. Гурин Е.И - 32 стр.

UptoLike

Составители: 

32
signal port_id, out_port: std_logic_vector(7 downto 0):=;
signal in_port: std_logic_vector(7 downto 0):=;
begin
K1:embedded_kcpsm Port map (port_id, write_strobe, read_strobe,
out_port, in_port, interrupt, reset, clk);
process (clk) begin
if clk='1' and clk'event then
in_port<= “0000” & sw1;
if write_strobe='1' and port_id=x"01" then
leds1<=out_port;
end if;
end if;
end process;
interrupt<='0'; reset<='0';
end Behavioral;
Процессор PicoBlaze для ПЛИС семейств Spartan-3, Spartan-3Е,
Virtex-II и др. в целом совпадает с рассмотренной выше структурой.
Однако имеются следующие отличия. Длина команды составляет 18 бит,
разрядность адреса команды – 10. В процессоре есть сверхоперативное
ОЗУ (СОЗУ) емкостью 64 байта. Для записи данных в ячейку СОЗУ
используется команда STORE. Например, команда STORE sN, kk
записывает данные из регистра sN в ячейку с
номером kk, команда STORE
sN, (sM) записывает данные из регистра sN в ячейку с номером, указанным
в регистре sM. Для чтения данных из ячейки СОЗУ используется команда
FETCH. Например, команда FETCH sN, kk записывает данные в регистр sN
из ячейки с номером kk, команда FETCH sN, (sM) записывает данные в
регистр sN из ячейки с номером, указанным в регистре sM.
Создание проекта
Сначала создается проект в
системе ISE, затем в папку проекта
копируются необходимые файлы: embedded_kcpsm.vhd, kcpsm.vhd и
prog_rom.vhd, далее файлы VHDL-описаний подключаются к проекту.
Первые два файла желательно не трогать, третий файл содержит
программу, которая управляет работой процессора, этот файл,
signal port_id, out_port: std_logic_vector(7 downto 0):=;
signal in_port: std_logic_vector(7 downto 0):=;

begin
K1:embedded_kcpsm Port map (port_id, write_strobe, read_strobe,
                    out_port, in_port, interrupt, reset, clk);
process (clk) begin
if clk='1' and clk'event then
  in_port<= “0000” & sw1;
  if write_strobe='1' and port_id=x"01" then
     leds1<=out_port;
  end if;
end if;
end process;

interrupt<='0';    reset<='0';
end Behavioral;


     Процессор PicoBlaze для ПЛИС семейств Spartan-3, Spartan-3Е,
Virtex-II и др. в целом совпадает с рассмотренной выше структурой.
Однако имеются следующие отличия. Длина команды составляет 18 бит,
разрядность адреса команды – 10. В процессоре есть сверхоперативное
ОЗУ (СОЗУ) емкостью 64 байта. Для записи данных в ячейку СОЗУ
используется команда STORE. Например, команда STORE sN, kk
записывает данные из регистра sN в ячейку с номером kk, команда STORE
sN, (sM) записывает данные из регистра sN в ячейку с номером, указанным
в регистре sM. Для чтения данных из ячейки СОЗУ используется команда
FETCH. Например, команда FETCH sN, kk записывает данные в регистр sN
из ячейки с номером kk, команда FETCH sN, (sM) записывает данные в
регистр sN из ячейки с номером, указанным в регистре sM.


     Создание проекта
     Сначала создается проект в системе ISE, затем в папку проекта
копируются необходимые файлы: embedded_kcpsm.vhd, kcpsm.vhd и
prog_rom.vhd, далее файлы VHDL-описаний подключаются к проекту.
Первые два файла желательно не трогать, третий файл содержит
программу,   которая   управляет   работой   процессора,   этот   файл,




                                     32