Разработка цифровых устройств на ПЛИС. Гурин Е.И - 31 стр.

UptoLike

Составители: 

31
Модуль, записанный в файле EMBEDDED_KCPSM.VHD, является
главным, а модули в файлах KCPSM.VHD и PROG_ROM.VHD входят в
главный модуль как компоненты.
В случае, если главным модулем является другой модуль, то
EMBEDDED_KCPSM будет подчиненным модулем и должен объявляться
как компонент.
Пример использования блока EMBEDDED_KCPSM в качестве
подчиненного модуля приведен ниже. В примере создается блок
picoblaze_top (название составлено
произвольно), в который блок
EMBEDDED_KCPSM входит как компонент. Блок picoblaze_top имеет
информационный вход sw1 и вход синхронизации clk. Выходной сигнал
leds1 стробируется сигналом rez_beg. Прерывания и сброс в данном
проекте не используются.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library UNISIM;
use UNISIM.VComponents.all;
entity picoblaze_top is Port ( clk : in std_logic;
sw1: in std_logic_vector(3 downto 0);
leds1: out std_logic_vector(7 downto 0) );
end picoblaze_top;
architecture Behavioral of picoblaze_top is
component embedded_kcpsm port (
port_id : out std_logic_vector(7 downto 0);
write_strobe, read_strobe : out std_logic;
out_port : out std_logic_vector(7 downto 0);
in_port : in std_logic_vector(7 downto 0);
interrupt, reset, clk : in std_logic);
end component;
signal reset, write_strobe, read_strobe: STD_LOGIC:='0';
signal interrupt: STD_LOGIC:='0';
     Модуль, записанный в файле EMBEDDED_KCPSM.VHD, является
главным, а модули в файлах KCPSM.VHD и PROG_ROM.VHD входят в
главный модуль как компоненты.
     В случае, если главным модулем является другой модуль, то
EMBEDDED_KCPSM будет подчиненным модулем и должен объявляться
как компонент.
     Пример использования блока EMBEDDED_KCPSM в качестве
подчиненного модуля приведен ниже. В примере создается блок
picoblaze_top (название составлено произвольно), в который блок
EMBEDDED_KCPSM входит как компонент. Блок picoblaze_top имеет
информационный вход sw1 и вход синхронизации clk. Выходной сигнал
leds1 стробируется сигналом rez_beg. Прерывания и сброс в данном
проекте не используются.

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

library UNISIM;
use UNISIM.VComponents.all;

entity picoblaze_top is Port ( clk : in std_logic;
  sw1: in std_logic_vector(3 downto 0);
  leds1: out std_logic_vector(7 downto 0) );
end picoblaze_top;


architecture Behavioral of picoblaze_top is

component embedded_kcpsm port (
      port_id   : out std_logic_vector(7 downto 0);
      write_strobe, read_strobe : out std_logic;
      out_port : out std_logic_vector(7 downto 0);
      in_port   : in std_logic_vector(7 downto 0);
      interrupt, reset, clk    : in std_logic);
end component;

signal reset, write_strobe, read_strobe: STD_LOGIC:='0';
signal interrupt: STD_LOGIC:='0';




                                   31