Применение языка VHDL при проектировании специализированных СБИС. Ивченко В.Г. - 8 стр.

UptoLike

Составители: 

9
Пример:
X"FFF" -- эквивалентно В"1111_1111_1111"
0"777" -- эквивалентно В"111_111_111"
X"777" -- эквивалентно В"0111_0111_0111"
1.2.6. Зарезервированные слова
Идентификаторы, перечисленные ниже, называются зарезервированными словами, они зарезервированы в
языке для специального назначения.
ABS ELSE MOD RETURN
ACCESS ELSEIF NAND SELECT
AFTER END NEW SEVERITY
ALIAS ENTITY NEXT SIGNAL
ALL EXIT NOR SUBTYPE
AND FILE NOT THEN
ARCHITECTURE FOR NULL TO
ARRAY FUNCTION OF TRANSPORT
ASSERT GENERATE ON TYPE
ATTRIBUTE GENERIC OPEN UNITS
BEGIN GUARDED OR UNTIL
BLOCK IF OTHERS USE
BODY IMPORT OUT VARIABLE
BUFFER IN PACKAGE WAIT
BUS INITIALIZE PORT WHEN
CASE INOUT PROCEDURE WHILE
COMPONENT IS PROCESS WITH
CONFIGURATION LABEL RANGE XOR
CONSTANT LIBRARY RECORD
DISCONNECT LINKAGE REGISTER
DOWNTO LOOP REM
MAP REPORT
Зарезервированное слово не должно использоваться как объявленный идентификатор. Зарезервированные
слова, различающиеся только в использовании соответствующих заглавных и строчных букв, рассматриваются
как идентичные.
1.2.7. Допустимые замены символов
Для базовых символов "вертикальная черта", "диез", "кавычка" разрешены следующие замены:
1) вертикальная черта (|) может быть заменена восклицательным знаком (!) при использовании в качестве
ограничителя;
2) диез (#) в базированном литерале может быть заменен двоеточием (:), при этом заменить надо оба знака в
этом литерале;
3) кавычки ("), используемые как ограничители в строковом литерале с обеих сторон, могут быть заменены
на проценты (%). При этом необходимо заменить обе строковые скобки, а из последовательности символов
исключить все символы кавычки. Каждый символ процента внутри последовательности символов должен быть
удвоен. Удвоенный символ процента интерпретируется в этом случае как один символ.
Такие замены не изменяют смысл описания.
Правила использования идентификаторов и абстрактных литералов таковы, что строчные и заглавные буквы
могут быть использованы без различия. Эти лексические элементы, таким образом, могут быть написаны с
использованием только базового набора символов.
1.3. Модели данных в VHDL
VHDL создан для обеспечения описания аппаратуры на высоком уровне абстракции. При этом такие
понятия как "целое" (integer), "адрес", "регистр" или "вектор" могут быть более предпочтительными, чем "bit"
для описания информации, проходящей через пути данных. В общем случае типы данных, требующиеся при
построении модели, не могут быть предсказаны раньше времени. Таким образом, язык проектирования должен
обеспечить разработчика средствами для конструирования произвольных сложных типов. Система типов в
VHDL обеспечивает такую возможность.
Модели данных позволяют создавать различные типы и объекты данных на основе базовых
предопределенных типов. Любой объект данных характеризуется определенным классом и типом.
Объекты данных (data_object) являются хранилищами для значений определенного типа. Все типы в VHDL
конструируются из элементов, представляющих собой скалярные типы.
Существуют объекты данных четырех классов: константы, переменные, сигналы и файлы.
Константы и переменные содержат одно значение данного типа. Значения переменных могут быть
изменены назначением нового значения в предложении назначения переменной. Значение константы
устанавливается до начала моделирования и не может после этого изменяться.