Описание проектов СБИС с использованием языка VHDL. Коноплев Б.Г - 18 стр.

UptoLike

18
Таблица истинности для этой схемы приведена в таблице.
Таблица истинности
S
R
Q(t)
Q(t)
0 0 запр. комбинация запр. комбинация
0 1 1 0
1 0 0 1
1 1 Q(t-1) NQ(t-1)
4.2. Поведенческое описание проекта
Поведенческое описание ассоциируется с последовательно выполнимым
кодом процедурного типа. Проект RS-триггера в поведенческом представлении
VHDL имеет следующий вид:
entity rstr is -- объявление объекта проекта rstr
port(s,r:inout bit; q,nq:inout bit); -- список
-- портов объекта rstr вида inout ,
-- типа bit
end rstr; -- конец объявления объекта rstr
architecture Behavior of rstr is -- объявление
-- архитектуры Behavior объекта rstr
begin -- начало области предложений
-- архитектуры Behavior
s<='1'; -- моделирование: инициализация
r<='1'; -- начальных значений входов S и R
gen1:process -- моделирование: описание 1-го
begin -- формирователя входного сигнала
s<='0' after 50 ns,'1' after 80 ns;
wait on s;
end process;
gen2:process -- моделирование: описание 2-го
begin -- формирователя входного сигнала
r<='0' after 20 ns,'1' after 30 ns;
wait for 120ns;
end process;
process -- поведенческое описание логики
begin -- работы RS-триггера
if s='0' and r='1' then q<='1';nq<='0';
elsif s='1' and r='0' then q<='0';nq<='1';