Проектирование цифровых устройств с помощью языка описания аппаратуры VHDL. Бобрешов А.М - 47 стр.

UptoLike

Составители: 

47
A,B: in integer range 0 to 255;
С,В: in integer range 0 to 15;
S1: out integer range 0 to 511;
S2: out integer range 0 to 31);
end add_test;
architecture behavioral of add_test is
component add is -- объявление компонента
generic (N: integer);
port(
S1: in integer range 0 to 2**N-1;
S1: in integer range 0 to 2**N-1;
SUM: out integer range 0 to 2**(N+1)-1);
end component;
begin
U1: add generic map(N=>8) port map(S1=>A, S2=>B, SUM=>S1);
U1: add generic map(N=>4) port map(S1=>C, S2=>D, SUM=>S2);
end add_test;
Примеры описания устройств
Рассмотрим более сложный пример, который обобщает
рассмотренный материал. В основном, реальные проекты содержат не
один, а как минимум несколько процессов. Легко представить себе цикл
проектирования как разработку функциональной схемы, которая состоит
из набора функциональных блоков, которые, в свою очередь, также
представляются в виде набора блоков и связей
между ними. Так может
быть образована многоуровневая иерархическая структура, которая
задается в VHDL-проекте с помощью механизмов структурного описания,
в том числе путем включения компонентов. И лишь на нижних уровнях
иерархии используется поведенческое описание. Основную роль при этом
играют процессы, которые содержат блоки последовательных операторов.
Проектирование традиционным способом, то есть с помощью
графического схемного редактора, опирается только на структурное
описание. Поэтому, при переходе к использованию языков описания
аппаратуры нужно научиться правильно комбинировать элементы
поведенческого и структурного описания. С одной стороны, требуется
обеспечить высокую скорость проектирования, легкую читаемость
описания и возможность вносить в него оперативные изменения, что
создается элементами поведенческого описания. В то
же время,
применение структурных методов описания, а также уменьшение
                                         47
   A,B: in integer range 0 to 255;
   С,В: in integer range 0 to 15;
   S1: out integer range 0 to 511;
   S2: out integer range 0 to 31);
end add_test;
architecture behavioral of add_test is

component add is -- объявление компонента
  generic (N: integer);
  port(
    S1: in integer range 0 to 2**N-1;
    S1: in integer range 0 to 2**N-1;
    SUM: out integer range 0 to 2**(N+1)-1);
  end component;

begin
  U1: add generic map(N=>8) port map(S1=>A, S2=>B, SUM=>S1);
  U1: add generic map(N=>4) port map(S1=>C, S2=>D, SUM=>S2);
end add_test;

      Примеры описания устройств
      Рассмотрим более сложный пример, который обобщает
рассмотренный материал. В основном, реальные проекты содержат не
один, а как минимум несколько процессов. Легко представить себе цикл
проектирования как разработку функциональной схемы, которая состоит
из набора функциональных блоков, которые, в свою очередь, также
представляются в виде набора блоков и связей между ними. Так может
быть образована многоуровневая иерархическая структура, которая
задается в VHDL-проекте с помощью механизмов структурного описания,
в том числе путем включения компонентов. И лишь на нижних уровнях
иерархии используется поведенческое описание. Основную роль при этом
играют процессы, которые содержат блоки последовательных операторов.
Проектирование традиционным способом, то есть с помощью
графического схемного редактора, опирается только на структурное
описание. Поэтому, при переходе к использованию языков описания
аппаратуры нужно научиться правильно комбинировать элементы
поведенческого и структурного описания. С одной стороны, требуется
обеспечить высокую скорость проектирования, легкую читаемость
описания и возможность вносить в него оперативные изменения, что
создается элементами поведенческого описания. В то же время,
применение структурных методов описания, а также         уменьшение