ВУЗ:
Составители:
12
Entity F is
Port (A: in std_logic_vector (1 downto 0);
B1, B2: out std_logic);
End F;
ПОВЕДЕНЧЕСКОЕ ОПИСАНИЕ ОБЪЕКТА
При поведенческом описании объекта внутренняя архитектура объекта
неизвестна. Известен только принцип функционирования, который может быть
задан, например, в виде таблицы функционирования или таблицы истинности.
Описание объекта F, поведение которого задано в виде таблицы
истинности:
Library ieee;
Use ieee.std_logic_1164.all;
Entity F is
Port (a0, a1: in std_logic;
B1, b2: out std_logic);
End F;
Architecture Behavior of F is
Begin
Process (A0, A1)
Begin
Case (A0&A1) is
When “00”! “01”! “10” => B1<=’0’; B2<=’1’;
When “11” => B1<=’1’; B2<=’0’;
End case;
End process;
End behavior;
Другая форма записи поведенческого описания объекта F:
Library ieee;
Use ieee.std_logic_1164.all;
Entity F is
Port (A: in std_logic_vector (1 downto 0);
B1, b2: out std_logic);
End F;
Architecture Behavior of F is
Begin
Страницы
- « первая
- ‹ предыдущая
- …
- 10
- 11
- 12
- 13
- 14
- …
- следующая ›
- последняя »