ВУЗ:
Составители:
13
Process (A)
Begin
Case A is
When “00” => b1<=’0’; b2<=’1’;
When “01” => b1<=’0’; b2<=’1’;
When “10” => b1<=’0’; b2<=’1’;
When “11” => b1<=’1’; b2<=’0’;
End case;
End process;
End behavior;
Другая форма поведенческого описания объекта F:
Library ieee;
Use ieee.std_logic_1164.all;
Entity F is
Port (A: in std_logic_vector (1 downto 0);
B1, b2: out std_logic);
End F;
Architecture Behavior of F is
Begin
Process (A)
Begin
If a = “00” then F<=’0’;
Elsif a =”01” then F<=’0’;
Elsif a =”10” then F<=’0’;
Elsif a =”11” then F<=’1’;
End if;
End process;
End behavior;
Другая форма записи поведенческого описания объекта F:
Library ieee;
Use ieee.std_logic_1164.all;
Entity F is
Port (a0, a1: in std_logic;
B1, b2: out std_logic);
End F;
Architecture Behavior of F is
Begin
Страницы
- « первая
- ‹ предыдущая
- …
- 11
- 12
- 13
- 14
- 15
- …
- следующая ›
- последняя »